From 3598e08212a70534786e6da0aca9175955023830 Mon Sep 17 00:00:00 2001 From: Sebastien Bourdeauducq Date: Sun, 9 Jun 2019 00:06:17 +0800 Subject: [PATCH] symbiflow: 100MHz timing (HACK) --- eda/symbiflow.nix | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/eda/symbiflow.nix b/eda/symbiflow.nix index 1dae74c..3286242 100644 --- a/eda/symbiflow.nix +++ b/eda/symbiflow.nix @@ -7,8 +7,8 @@ buildPhase = '' mkdir $out - ${yosys}/bin/yosys -p "read_ilang $src/top.il; synth_ecp5 -top top -json $out/top.json" - ${pkgs.nextpnr}/bin/nextpnr-ecp5 --json $out/top.json --textcfg $out/top.config `cat $src/device` --lpf $src/top.lpf + ${yosys}/bin/yosys -p "read_ilang $src/top.il; synth_ecp5 -top top -nomux -json $out/top.json" + ${pkgs.nextpnr}/bin/nextpnr-ecp5 --json $out/top.json --textcfg $out/top.config `cat $src/device` --lpf $src/top.lpf --freq 100 ${pkgs.trellis}/bin/ecppack --svf-rowsize 100000 --svf $out/top.svf $out/top.config $out/top.bit ''; };